CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog hdl

搜索资源列表

  1. verilog-hdl

    0下载:
  2. 本设计是以四路抢答为基本概念。从实际应用出发,利用电子设计自动化( EDA)技术,用可编程逻辑器件设计具有扩充功能的抢答器。它以Verilog HDL硬件描述语言作为平台,结合动手实验而完成的-The design is based on four basic concepts answer. From the practical application, the use of electronic design automation (EDA) technology, using a prog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1832
    • 提供者:hering
  1. Verilog.HDL

    0下载:
  2. <精通Verilog.HDL语言编程_源码>-< Proficient Verilog.HDL source programming language _>
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:54858
    • 提供者:刚刚
  1. Verilog.HDL

    0下载:
  2. 精通Verilog.HDL语言编程_源码,对初学者来说很好的值得借鉴-Proficient Verilog.HDL language programming _ source, good for beginners should learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:53396
    • 提供者:刚刚
  1. Verilog-HDL-washer

    1下载:
  2. 智能洗衣机控制器 基于verilog hdl状态机 具有多种功能切换-Intelligent washing machine controller verilog hdl-based state machine has multi-functional switch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-16
    • 文件大小:50176
    • 提供者:冯先申
  1. Verilog-HDL--examples

    0下载:
  2. 王金明:《Verilog HDL 程序设计教程》书中的全部范例,pdf版本。-Wang Jinming: " Verilog HDL Programming Guide" all examples in the book, pdf version.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-29
    • 文件大小:113849
    • 提供者:fang
  1. Verilog-HDL-basics-for-beginners

    0下载:
  2. Verilog HDL的基础知识,适合初学者阅读-Verilog HDL basics for beginners to read
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:290292
    • 提供者:李博华
  1. Verilog-HDL-for-entering-Huawei

    0下载:
  2. Verilog HDL 华为入门教程 想去华为的可以学习下-Verilog HDL want Huawei Huawei introductory tutorial can learn under
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:262690
    • 提供者:李博华
  1. Verilog-HDL

    1下载:
  2. 本课程设计在EDA开发平台上利用Verilog HDL语言设计数控分频器电路,利用数控分频的原理设计乐曲硬件演奏电路,并定制LPM-ROM存储音乐数据,-This course is designed to take advantage of the EDA Verilog HDL language development platform NC divider circuit design, the use of CNC dividing principles music playing ha
  3. 所属分类:ELanguage

    • 发布日期:2017-05-07
    • 文件大小:1049789
    • 提供者:李永科
  1. verilog-hdl(VIA-COMPANY-DOCUMENTS)

    0下载:
  2. verilog hdl学习 威盛内部资料-verilog hdl language(VIA reference document)
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2052800
    • 提供者:sun shuo
  1. Verilog-HDL-introduction

    0下载:
  2. 简单实用的Verilog HDL 入门教程-Verilog HDL introduction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:263658
    • 提供者:mengmeng
  1. vga显示实验及代码

    0下载:
  2. 里面有具体的关于VGA显示的实验说明及代码,基于verilog HDL语言,里面有三个实验及代码
  3. 所属分类:VHDL编程

  1. seg7

    1下载:
  2. verilog HDL编写的FPGA定时器并用数码管显示(Verilog HDL prepared by the FPGA timer and digital display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:3581952
    • 提供者:abc编程
  1. Verilog数字系统设计教程

    1下载:
  2. Verilog教程 数字系统设计 夏宇闻(Verilog Digital System Design)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:22807552
    • 提供者:gss0001
  1. cnt12

    0下载:
  2. 十二进制计数器,基于verilog HDL实现。(Twelve decimal counter)
  3. 所属分类:其他

  1. mux_2to1_4to1_8to1

    0下载:
  2. design verilog hdl for mux 2to1, mux4to1, mux8to1
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:1024
    • 提供者:14520950
  1. signed_add

    0下载:
  2. 有符号定点数加法运算代码,使用Verilog HDL语言实现(Code writing in Verilog HDL,to solve the problem about signed number calculation.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. xujiance

    0下载:
  2. 设计一个序检测电路,功能是检测出串行输入数据Data中的4位二进制序列1101(自左至右输入),当检测到该序列时,输出Out为1;没有检测到该序列时,输出输出Out为0,要求: (1)用状态机方法设计; (2)用Verilog HDL语言设计,用Modelsim软件做功能仿真。(A sequence detection circuit is designed to detect the 4 bit binary sequence 1101 in the serial input data D
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1024
    • 提供者:spysleeper
  1. verilog add4

    0下载:
  2. 分两部分,基于verilog的四位和八位加法器设计,用synopsys的VCS仿真工具进行功能仿真,掌握基本的makefile编写以及linux操作。(Divided into two parts, four and eight adder based on verilog design, function simulation with synopsys VCS simulation tools, master the basic makefile writing and Linux.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:512000
    • 提供者:yzzls
  1. Verilog-HDL实用教程(张明)

    0下载:
  2. verilog教程,更加偏向工程化的verilog实用教程,有很多实际模块,推荐(Verilog tutorial, more biased toward the engineering of the Verilog practical tutorial, there are many practical modules, recommended)
  3. 所属分类:硬件设计

    • 发布日期:2018-04-21
    • 文件大小:8649728
    • 提供者:ppQAQ
  1. Verilog典型电路设计_华为

    0下载:
  2. Verilog典型电路设计,学习价值较高。(Verilog typical circuit design, learning value is higher.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-28
    • 文件大小:267264
    • 提供者:我法提了
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 50 »
搜珍网 www.dssz.com